Zobraziť príspevky

This section allows you to view all posts made by this member. Note that you can only see posts made in areas you currently have access to.


Messages - MackoZlesa

Pages: 1 2 [3] 4
51
z nejakeho dovodu mi nechce nacitat generator.properties file. kde ho mam ulozit?

Code: [Select]
run:
model [entity Oddelenie [nazov, kod, poschodie], entity Zamestnanec [meno, priezvisko, vek]]
Exception in thread "main" java.lang.ExceptionInInitializerError
        at Make.main(Make.java:22)
Caused by: sk.tuke.magsa.tools.generator.ConfigurationException: Failed during loading of the configuration file 'generator.properties'
        at sk.tuke.magsa.tools.generator.Generator.<clinit>(Generator.java:21)
        ... 1 more
Caused by: java.lang.NullPointerException
        at java.util.Properties$LineReader.readLine(Properties.java:418)
        at java.util.Properties.load0(Properties.java:337)
        at java.util.Properties.load(Properties.java:325)
        at sk.tuke.magsa.tools.generator.Generator.<clinit>(Generator.java:19)
        ... 1 more
Java Result: 1
BUILD SUCCESSFUL (total time: 1 second)

52
4. ročník / Re: Semestralny projekt
« on: 17.02.2011, 15:15:36 »
ako to teraz funguje v kniznicim, ked si chcem pozicat nejaku knihu? Este stale treba pisat signaturu knihy, ktoru si chcem pozicat na ten listocek, ktory odovzdam pri okienku v kniznici alebo sa to da uz cez net vybavit jednoducho tak, ze danu knihu vlozim do kosika a na druhy den si uz len pre nu pridem?  ???

53
4. ročník / Re: Stavba po?íta?ov
« on: 26.01.2011, 17:58:15 »
Ake boli otazky na dnesnej skuske?  :xmas:

54
4. ročník / Re: Teoretická informatika
« on: 12.01.2011, 16:56:36 »
caute,

co znamena presne, ze sekvencne zobrazenie je bez predikcie ?

ja to chapam, ze pre vypocet R(t) neptrobujem hodnotu S(t+1)

je to vysvetlene v tomto videu, cas 7:36

youtube.com/watch?v=mOaGc51RCMM

55
4. ročník / Re: Teoretická informatika
« on: 11.01.2011, 22:24:49 »
ako vlastne vyzera odpoved na otazku, s ktorou je Hudak ako tak spokojny? musia tam byt uvedene ku vsetkemu dokazy, tak ako je to v jeho skriptach?

56
4. ročník / Re: Teoretická informatika
« on: 11.01.2011, 21:51:25 »
Mna by zaujimalo ci sa da s tym ratat ze p. Hudak na stvrtkovom termine nebude davat tie otazky ktore boli zatial na tych 2 terminoch. Este ma z coho vyberat  ah:
neda sa s tym vobec ratat. Pred dvoma rokmi presne takto ojebabral mojho brata, ktory isiel tiez na v poradi tretiu skusku a myslel si, ze otazky z prvych dvoch terminov nebudu. A boli presne tie otazky, co boli na prvych dvoch terminoch  :D

57
4. ročník / Re: Teoretická informatika
« on: 04.01.2011, 23:14:41 »
taak vlastne ja som si vypracovala tie otazky co boli minule roky..zo skript na moodli v kombinacii s tymi pdfkami na moodli v kombinacii s eminkinimi poznamkami..a naucila som sa iba tie otazky..nic ine..a nastastie presne to bolo!!! :) ale samozrejme som si precitala cele skripta aby som vedela o com to celkovo je a tak..cize to bolo cca 8 dni aktivneho ucenia  :trestac:

a ktore otazky myslis? tie co su v anotacii?  :embarassed:

58
4. ročník / Re: Teoretická informatika
« on: 27.12.2010, 20:18:43 »
sekvencne zobrazenie - vlastnost monotonnosti, co to je, nikde to nvm najst

59
4. ročník / Re: Teoretická informatika
« on: 24.12.2010, 23:05:34 »
Idu dvaja chlapici po lese. Jeden z nich je uplne mimo realitu a druhy tiez prednasa teoreticku informatiku.  :puf:


60
4. ročník / Re: Konštrukcia preklada?ov
« on: 24.12.2010, 19:05:42 »
OUTPUT LOZAT Vyraz CIARKA Vyraz POZAT Vyraz COLOR FARBA BCIARKA
         {
            $$ = (char*)malloc(900);
            sprintf($$,
                  "\taload\t1\n"
                  "\tgetstatic  java/awt/Color %s Ljava/awt/Color;\n"
                  "\tinvokevirtual  java/awt/Graphics setColor (Ljava/awt/Color;)V\n"
                  "\taload\t1\n"
                  "%s%s%s"
                  "\tinvokevirtual  java/awt/Graphics drawString (Ljava/lang/String;II)V\n",
                  $9,
                  $7.kod,
                  $3.kod,
                  $5.kod); 
         }
skus to tak...

skusal som to takto, ale tu istu chybu mi vypise  ???

61
4. ročník / Re: Konštrukcia preklada?ov
« on: 23.12.2010, 22:22:26 »
| OUTPUT LOZAT Vyraz CIARKA Vyraz POZAT TEXT COLOR FARBA BCIARKA

               {
                    $$ = (char*)malloc(800);
                     sprintf($$,
                        "\taload\t1\n"
                        "\tgetstatic  java/awt/Color %s Ljava/awt/Color;\n"
                        "\tinvokevirtual  java/awt/Graphics setColor (Ljava/awt/Color;)V\n"
                        "\taload\t1\n"
                          "\tldc\t\"TEXT\"\n"
                        "%s%s"
                        "\tinvokevirtual  java/awt/Graphics drawString (Ljava/lang/String;II)V\n",
                        $9,
                        $3.kod,
                        $5.kod);

testovaci priklad: output(190,200) "Ja" color GREEN;

vysledok: CHYBA: syntax error ["Ja:14]

Neviete mi poradit, kde mam chybu? ja na to neviem prist  :'(

62
4. ročník / Re: Stavba po?íta?ov
« on: 21.12.2010, 21:06:51 »
Uz mate niekto zo skupin, ktore ucil Zahra zapisany zapich v MAIS-e?  br

63
4. ročník / Re: Konštrukcia preklada?ov
« on: 30.11.2010, 23:58:40 »
jop jop... treba si pozriet tie doc subory zo zadani tam je top ekne vysvetlene celkom...
 momentalny stav 20/20 :D

Ktore docka kamo? Aby som nahodou sa zo zlych neucil. Gratulujem k ziskanym bodom  :metal:

64
4. ročník / Re: Pokrocilé databázové technológie
« on: 22.11.2010, 20:54:11 »
Z ktorych 3 databazach mame vytvarat datawarehouse, tie ktore su v zozname databaz nad alebo pod nasou databazou? Neviete ako to Genci hovoril?  :hammer:

65
4. ročník / Re: Pokrocilé databázové technológie
« on: 18.11.2010, 00:19:01 »
Vdaka Skreko  :beer1:

66
4. ročník / Re: Pokrocilé databázové technológie
« on: 17.11.2010, 23:26:12 »
najprv rozdelim 50 cisel na 10 behov po 5 cisel. Potom sa usporiadaju cisla v jednotlivych behoch, ale ako postupujem dalej?  :(

67
4. ročník / Re: Teoretická informatika
« on: 15.11.2010, 04:43:58 »
jj tak... zasobnikove kludne nakoniec:)

nejako tam nvm najst video 7a  ???

68
4. ročník / Semestralny projekt
« on: 22.09.2010, 22:56:30 »
Zdravicko lidicky, neviete kedy budu zverejnene temy semestralnych projektov, nerad by som nieco zase prespal  ;D

69
3. ro?ník / Dodatocne zapisanie predmetu
« on: 02.09.2010, 19:45:12 »
Zdravim, na zapise nam teta povedala, ze ak nam chyba nejaky predmet, mame si ho dopisat na zapisny list. ako mam postupovat dalej? mam poslat email na prislusnu katedru s poziadavkou na prihlasenie na dany predmet alebo to za mna vsetko vybavia na studijnom? dik

70
3. ro?ník / Re: Ideme dalej... ING
« on: 19.07.2010, 19:14:55 »
Každý uchádzač dostane poštou listom do vlastných rúk rozhodnutie o prijatí/neprijatí na štúdium. V prípade neprítomností je nevyhnutné na prevzatie na pošte inou osobou zabezpečiť úradné splnomocnenie.
V liste okrem rozhodnutia a ďalších dokumentov bude aj papierová návratka. Návratku u prijatých uchádzačov je nevyhnutné (§59, ods. 3, vysokoškolského zákona) vyplniť, podpísať, nalepiť fotografiu (4cmx4,5cm) a potvrdenie o zaplatení poplatku zápisného a doručiť späť na DFEI ŠO TUKE obratom, najneskôr do 20.7.2010.

Fotografia nie je potrebná u bývalých študentov TUKE, pokračujúcich v štúdiu na TUKE, ktorý už majú študentský preukaz. Presnejší oznam o poplatkoch pre uchádzačov bude zverejnený 9.7.2010.

Mohol by sa napisat link, kde si to nasiel? dakujem :)

71
3. ro?ník / Re: Ideme dalej... ING
« on: 19.07.2010, 19:04:25 »
Teraz bola poštárka. By ma ale zaujímalo odôvodnenie neprijatia ľudí čo mali 360b.
Mne nel napísali že som nesplnil kritériá a že brali ľudí čo mali menej bodov jak ja.

Ides posielat odvolanie?

Teraz odazka .... na navratke je napisane ohladom zaplatenia k ISICu
Poplatok sa uhradza spolu so zapisnym poplatkom bankovym prevodom alebo postovou poukazkou typu U na ucet FEI 8000151433/8180, v.s.: 052010, k.s.: 3818

Na papiery informacie k uhradam na zapisne ja vydanie preukazu studenta isic ..... je napisane:
Zodpovedajucu sumu na zapis a id. kartu ISI podla formy studia uhradte postovou poukazkou alebo bankovym prevodom na cislo uctu 7000151433 kod banky 8180, variabilny symbol 92010, do spravy pre prijimatela uvedte ....

tak teda na ktory variabilny symbol to mame posielat?


http://www.fei.tuke.sk/sk/studium/aktuality/dokumenty/pokracujuci_studenti_v-i.-a-ii.stupen.pdf

72
3. ro?ník / Re: Ideme dalej... ING
« on: 19.07.2010, 18:17:50 »
zase sa len ukazalo, ze pre papalasov na TUKE sme len cisla a nic viac. Mat jeden den na odoslanie navratky, je proste sila. Ale oni to mozu mat fpci. Teraz k veci  :).

Fotku tam nedam, zaplatim poplatok 14,50 eur a rano im to sobne odnesiem na studijne, aby mi to skontrolovali a potvrdili, ze to mam spravne. Neverim nikomu a nicomu na FEI

73
3. ro?ník / Re: Architektúry po?íta?ových systémov
« on: 07.05.2010, 23:59:04 »
Ako spravit APS: zjednodusene si vypracovat si kazdu jednu otazku a ucit sa ju, kym ju neviete naspamat ako otcenas  :angel:
-btw som pocul ze otazky z 10. a 11. kapitoly nebudu na pisomnej casti, je to pravda?

74
3. ro?ník / Re: Architektúry po?íta?ových systémov
« on: 07.05.2010, 16:52:37 »
aky je rozdiel medzi instrukciou a operaciou ?  :D

No ze instrukcia je komplexny ukon skladajuci sa z viacerych casti, pokial operacia je len jedna elementarna cinnost.

a este doplnim ze operacia je definovana kodom operacie, ktory je obsiahnuty v instrukcii

75
3. ro?ník / Re: Architektúry po?íta?ových systémov
« on: 05.05.2010, 18:27:50 »
Haulis nema chyby. Vsetko co Haulis vykona, sa od toho momentu povazuje za spravne.

EDIT: Chuck Norris naratal do nekonecna. Milan Haulis naratal do nekonecna v BCD kode.

Milan Haulis dokazal vyrobit nekonecnu vstupnu pasku do turingovho stroja

Pages: 1 2 [3] 4