Author Topic: Stavba pocitacov  (Read 9583 times)

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Stavba pocitacov
« on: 04.10.2012, 13:47:36 »
Kde sa to treba registrovat? som niejak zabudol


karamel je cukr co se uz neuzdravi!

Aleister

  • Newbie
  • *
  • Posts: 33
    • View Profile
Re: Stavba pocitacov
« Reply #1 on: 04.10.2012, 23:50:13 »
Mas na mysli registraciu na stranke www.xilinx.com pre stiahnutie WebPack ISE?

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #2 on: 05.10.2012, 02:12:11 »
jj nepamatal som sa na webpack, diky


karamel je cukr co se uz neuzdravi!

Painkiller03

  • Full Member
  • ***
  • Posts: 166
    • View Profile
Re: Stavba pocitacov
« Reply #3 on: 09.10.2012, 15:49:06 »
Zdravim, robi niekto zadania z tohto predmetu ? mal by som obchodnu ponuku ,  pm :)

Aleister

  • Newbie
  • *
  • Posts: 33
    • View Profile
Re: Stavba pocitacov
« Reply #4 on: 11.10.2012, 19:33:22 »
Neviete prosim Vas, kedy je zapoctovka? Mam na mysli, ktory tyzden... vdaka  :thumbs-up:

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #5 on: 12.10.2012, 01:17:31 »
obavam sa ze take nieco neexistuje  :xmas:


karamel je cukr co se uz neuzdravi!

Aleister

  • Newbie
  • *
  • Posts: 33
    • View Profile
Re: Stavba pocitacov
« Reply #6 on: 12.10.2012, 14:02:32 »
Dakujem za odpoved na moju otazocku  :beer1: Heh, cviciaci ma nejako doplietol, ked spominal, ze sa na prednaskach dozvieme viac o zapoctovke.. mal pravdepodobne asi na mysli tie dve zadania z VHDL, ktore mam spravit  :emot-dance: Mimochodom, narazil som v pdfku v prednaske cislo 2 na zaujimavu vec.. Viete mi povedat, ake hradla su v prikladoch 1 a 2 pre budice pouzite? V scheme je zakresleny OR a AND, no podla zapisu by mali byt NOR a NAND, ci nie? Asi som si zas sedel na usiach na prednaske :)

Mike

  • Hero Member
  • *****
  • Posts: 634
    • View Profile
Re: Stavba pocitacov
« Reply #7 on: 15.11.2012, 03:26:29 »
je zajtra (15.11.2012) prednaska ?

sulo

  • Sr. Member
  • ****
  • Posts: 284
    • View Profile
    • Web
Re: Stavba pocitacov
« Reply #8 on: 15.11.2012, 03:36:00 »
Nie.

luky

  • Sr. Member
  • ****
  • Posts: 400
    • View Profile
Re: Stavba pocitacov
« Reply #9 on: 24.11.2012, 19:24:48 »
Na aký e-mail treba poslať Dankovej zadanie?
eva.chovancova@tuke.sk
alebo už funguje eva.dankova@tuke.sk?

PALLY27

  • Full Member
  • ***
  • Posts: 165
    • View Profile
Re: Stavba pocitacov
« Reply #10 on: 24.11.2012, 22:33:33 »
Na aký e-mail treba poslať Dankovej zadanie?
eva.chovancova@tuke.sk
alebo už funguje eva.dankova@tuke.sk?

podľa mna už treba použivať eva.chovancova ... ja ju mam na BP a ešte mi odpisovala aj z eva.dankova , podľa mna to ma presmerované

aj v zozname zamestnancov TUKE je už jej nové meno a email uvedené :)

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #11 on: 30.11.2012, 01:42:32 »
neviete v akej forme bude skuska?


karamel je cukr co se uz neuzdravi!

sulo

  • Sr. Member
  • ****
  • Posts: 284
    • View Profile
    • Web
Re: Stavba pocitacov
« Reply #12 on: 30.11.2012, 02:28:22 »
Vraj Moodle.

luky

  • Sr. Member
  • ****
  • Posts: 400
    • View Profile
Re: Stavba pocitacov
« Reply #13 on: 30.11.2012, 18:04:29 »
neviete v akej forme bude skuska?
moodle
klasické otázky: 1 správna, viac správnych
dopĺňanie VHDL kódu (len neviem či tak že vybrať z možností jednu správnu alebo napísať priamo riadok kódu)

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #14 on: 30.11.2012, 19:22:20 »
tak to je super sprava :)


karamel je cukr co se uz neuzdravi!

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #15 on: 01.12.2012, 17:15:57 »
Co myslite, moze spravit zadanie pomocou processu?

cize podla konstrukcie
process (clk, reset)
   begin
      if reset = '1' then
         state <= s0;
      elsif (rising_edge(clk)) then
                ...
                end if;
   end process;
   


karamel je cukr co se uz neuzdravi!

Aleister

  • Newbie
  • *
  • Posts: 33
    • View Profile
Re: Stavba pocitacov
« Reply #16 on: 01.12.2012, 17:38:26 »
Skor by som ten proces riesil takto:

process(clk,reset)
begin
 if rising_edge(clk) then
   if reset='1' then
     -- nastavenie poc. stavu
      state <= S0;
   else
      -- tu pride snad toto:
      state <= next_state;
   end if;
 end if;
end process;

Takto mam synchronny reset. Aspon takto by som to riesil ja pri automate.. :)

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #17 on: 01.12.2012, 18:33:05 »
ten kod som tu dal iba ako priklad, iba som sa chcel spytat ci tak mozem riesit zadanie, lebo pri automate moore by sa mi to hodilo


// uz to vidim na moodli, takze moja otazka je zbytocna...
« Last Edit: 01.12.2012, 18:45:48 by tino8 »


karamel je cukr co se uz neuzdravi!

jany1

  • Jr. Member
  • **
  • Posts: 66
    • View Profile
Re: Stavba pocitacov
« Reply #18 on: 05.12.2012, 19:39:08 »
neviete aka ma byt struktura, resp. co vsetko ma byt v referate k zadaniu pre Madosa?
vdaka

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #19 on: 05.12.2012, 20:56:16 »
ma tam byt obsah, znenie zadania, dodefinovanie ak treba, niejaka analyza, rtl schema ak nieje komplikovana a vhdl kod ak nieje velmi dlhy


karamel je cukr co se uz neuzdravi!

protos

  • Newbie
  • *
  • Posts: 27
    • View Profile
Re: Stavba pocitacov
« Reply #20 on: 18.12.2012, 16:13:50 »
Cviko s Madosom vo stvrtok o 15:10- treba ist tento tyzden? A mate uz zapisane zapocty?

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #21 on: 18.12.2012, 22:37:38 »
nie nemame :(


karamel je cukr co se uz neuzdravi!

milano.1

  • Newbie
  • *
  • Posts: 22
    • View Profile
Re: Stavba pocitacov
« Reply #22 on: 20.12.2012, 16:19:31 »
Zdravim :) prosim vas, robil tu niekto zadanie cislo 7: Navrhnite 4-bitové synchrónne počítadlo na základe JK klopného obvodu, pričom signál clk jepripojený na všetky 4 Jk klopné obvody, a preto sa zmenia v rovnakom momente.
Dajte mi prosim vas vediet, potreboval by som akukolvek pomoc :) dakujem velmi pekne za ochotu uz dopredu :)

scorpi

  • Jr. Member
  • **
  • Posts: 86
    • View Profile
Re: Stavba pocitacov
« Reply #23 on: 21.12.2012, 19:58:28 »
niekto kto by vedel spravit zadania 5a? "Navrhnite generátor priority, ktorý určí prioritu spracovávaného slova na základe princípu FIFO ( first in , first out) . Vstupné slovo bude vo formáte 8 bitov." ja daco mam, ale nieje to velmi funkcne

milano.1

  • Newbie
  • *
  • Posts: 22
    • View Profile
Re: Stavba pocitacov
« Reply #24 on: 30.12.2012, 01:19:47 »
NEVIE NIKTO POMOCT??? PROSIM VAS PEKNE :)
7: Navrhnite 4-bitové synchrónne počítadlo na základe JK klopného obvodu, pričom signál clk jepripojený na všetky 4 Jk klopné obvody, a preto sa zmenia v rovnakom momente.