Author Topic: Stavba pocitacov  (Read 9521 times)

protos

  • Newbie
  • *
  • Posts: 27
    • View Profile
Re: Stavba pocitacov
« Reply #25 on: 30.12.2012, 21:11:57 »
Priklad na skuske- to sa mysli vhdl kod alebo dostaneme ulohu napr.- navrnite 8-bitovu scitacku s postupnym seriovym prenosom a budu chciet nakreslit schemu + nejake ine veci?

protos

  • Newbie
  • *
  • Posts: 27
    • View Profile
Re: Stavba pocitacov
« Reply #26 on: 03.01.2013, 15:10:08 »
Keby to este niekoho zaujimalo, priklad na skuske nieje. Vsetko veci z prednasok, ziadne vhdl, ziadne schemy...

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #27 on: 03.01.2013, 15:38:59 »
ako vidim na moodli uz prednasky niesu, nemoze ich niekto niekde upnut?


karamel je cukr co se uz neuzdravi!

black_stone

  • Jr. Member
  • **
  • Posts: 77
    • View Profile
Re: Stavba pocitacov
« Reply #28 on: 03.01.2013, 16:44:14 »

tino8

  • Hero Member
  • *****
  • Posts: 1093
    • View Profile
Re: Stavba pocitacov
« Reply #29 on: 03.01.2013, 16:54:12 »
danke schon


karamel je cukr co se uz neuzdravi!